Welcome![Sign In][Sign Up]
Location:
Search - sdi vhdl

Search list

[Editorxapp514_hd-integ-demobrd

Description: SDI接口的源程序,包括扰码编码,并串转换,用VHDL硬件描述语言编写-SDI interface of the source, including interference coding and string conversion, using VHDL hardware description language
Platform: | Size: 382049 | Author: 李西军 | Hits:

[Editorxapp514_hd-integ-demobrd

Description: SDI接口的源程序,包括扰码编码,并串转换,用VHDL硬件描述语言编写-SDI interface of the source, including interference coding and string conversion, using VHDL hardware description language
Platform: | Size: 381952 | Author: | Hits:

[Other systemssdi_receive

Description: 本程序是关于SDI 接口的描述,以用FPGA代替相关芯片; sdi_receive-This procedure is described on the SDI interface to use in place of the relevant FPGA chip sdi_receive
Platform: | Size: 11264 | Author: fyge_free | Hits:

[Other systemssdi_transmit

Description: 本程序是关于SDI 接口的描述,以用FPGA代替相关芯片; sdi_transmit-This procedure is described on the SDI interface to use in place of the relevant FPGA chip sdi_transmit
Platform: | Size: 22528 | Author: fyge_free | Hits:

[VHDL-FPGA-VerilogIPcore

Description: 基于EP3C25的Altera SDI IP核的使用-EP3C25 Altera SDI IP
Platform: | Size: 1486848 | Author: wzk | Hits:

[VHDL-FPGA-Verilogxapp288

Description: This the reference design file for XAPP288 " SDI Video Decoder" it includes both VHDL and Verilog versions -This is the reference design file for XAPP288 " SDI Video Decoder" it includes both VHDL and Verilog versions
Platform: | Size: 68608 | Author: zhangxinxin | Hits:

[VHDL-FPGA-Verilogsd_hd_sdi_demo

Description: lattice的SDI DEMO板工程源代码,HD/SD自适应,内有彩条自产生源-designed for lattice sdi
Platform: | Size: 795648 | Author: lqj | Hits:

[Other Embeded programSPI

Description: SPI(Serial Peripheral Interface)是一种串行同步通讯协议,由一个主设备和一个或多个从设备组成,主设备启动一个与从设备的同步通讯,从而完成数据的交换。SPI 接口由SDI(串行数据输入),SDO(串行数据输出),SCK(串行移位时钟),CS(从使能信号)四种信号构成,CS 决定了唯一的与主设备通信的从设备,如没有CS 信号,则只能存在一个从设备,主设备通过产生移位时钟来发起通讯。通讯时,数据由SDO 输出,SDI 输入,数据在时钟的上升或下降沿由SDO 输出,在紧接着的下降或上升沿由SDI 读入,这样经过8/16 次时钟的改变,完成8/16 位数据的传输。-/ SPI协议中的McBSP时钟停止模式 SPI协议是以主从方式工作的,这种模式通常有一个主设备和一个或多个从设备,其接口包括以下四种信号: (1)串行数据输入(也称为主进从出,或MISO); (2)串行数据输出(也称为主出从进,或MOSI); (3)串行移位时钟(也称为SCK); (4)从使能信号(也称为SS)。
Platform: | Size: 1024 | Author: 王静 | Hits:

[VHDL-FPGA-VerilogSDI_PassThr_SZ

Description: Xilinx SDI参考设计,Verilog/VHDL源代码和相关文档等-Xilinx SDI pass through Verilog/VHDL source code
Platform: | Size: 4932608 | Author: 黄棋波 | Hits:

[VHDL-FPGA-Verilogxapp1015

Description: SDI接口的VHDL实现,XILINX官网的设计参考-SDI interface VHDL realize XILINX official website design reference
Platform: | Size: 610304 | Author: 王凯 | Hits:

CodeBus www.codebus.net